Sprungmarken

Servicenavigation

Hauptnavigation


You are here:

Home Research WCET-aware Compilation Publications

Bereichsnavigation

Hauptinhalt

LS12 Publications on WCET-aware Compilation

2015
Timon Kelter.
WCET Analysis and Optimization for Multi-Core Real-Time Systems.
PhD Thesis, March 2015
[BibTeX][PDF]
2014
Timon Kelter and Peter Marwedel.
Parallelism Analysis: Precise WCET Values for Complex Multi-Core Systems.
In Third International Workshop on Formal Techniques for Safety-Critical Systems
Luxembourg, November 2014
[BibTeX][PDF][Link]
Chen-Wei Huang, Timon Kelter, Bjoern Boenninghoff, Jan Kleinsorge, Michael Engel, Peter Marwedel and Shiao-Li Tsao.
Static WCET Analysis of the H.264/AVC Decoder Exploiting Coding Information.
In International Conference on Embedded and Real-Time Computing Systems and Applications
Chongqing, China, August 2014
[BibTeX]
Sudipta Chattopadhyay, Lee Kee Chong, Abhik Roychoudhury, Timon Kelter, Peter Marwedel and Heiko Falk.
A Unified WCET Analysis Framework for Multi-core Platforms.
ACM Transactions on Embedded Computing Systems (TECS) 13 4s
July 2014
[BibTeX][Link][Abstract]
Timon Kelter, Peter Marwedel and Hendrik Borghorst.
WCET-aware Scheduling Optimizations for Multi-Core Real-Time Systems.
In International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pages 67-74
Samos, Greece, July 2014
[BibTeX][PDF]
Timon Kelter, Heiko Falk, Peter Marwedel, Sudipta Chattopadhyay and Abhik Roychoudhury.
Static Analysis of Multi-Core TDMA Resource Arbitration Delays.
Real-Time Systems 50 2, pages pp 185-229
March 2014
[BibTeX][Link]
2013
Tim Harde.
Vergleichende Studie von Arbitrierungsverfahren für Kommunikationsstrukturen in eingebetteten Multicoresystemen.
Bachelor Thesis, 2013
[BibTeX][PDF]
Christian Günter.
Unterstützung modularer WCET-Analyse durch annotierte Binärobjekte.
Bachelor Thesis, 2013
[BibTeX][PDF]
Hendrik Borghorst.
Schedulingverfahren zur WCET-Reduktion in eingebetteten Multicore-Systemen.
Master's Thesis, 2013
[BibTeX][PDF]
Jan Kleinsorge, Heiko Falk and Peter Marwedel.
Simple Analysis of Partial Worst-case Execution Paths on General Control Flow Graphs.
In Proceedings of the International Conference on Embedded Software (EMSOFT 2013)
Montreal, Canada, October 2013
[BibTeX][Link]
Timon Kelter, Tim Harde, Peter Marwedel and Heiko Falk.
Evaluation of resource arbitration methods for multi-core real-time systems.
In Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis (WCET)
Paris, France, July 2013
[BibTeX][PDF][Link][Abstract]
2012
Sascha Plazar.
Memory-based Optimization Techniques for Real-Time Systems.
PhD Thesis, Dortmund, Germany, July 2012
[BibTeX][PDF][Link][Abstract]
Sascha Plazar, Jan Kleinsorge, Heiko Falk and Peter Marwedel.
WCET-aware Static Locking of Instruction Caches.
In Proceedings of the International Symposium on Code Generation and Optimization (CGO), pages 44-52
San Jose, CA, USA, April 2012
[BibTeX][Link][Abstract]
Sudipta Chattopadhyay, Chong Lee Kee, Abhik Roychoudhury, Timon Kelter, Heiko Falk and Peter Marwedel.
A Unified WCET Analysis Framework for Multi-core Platforms.
In IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), pages 99-108
Beijing, China, April 2012
[BibTeX][PDF][Link][Abstract]
Heiko Falk and Jan C. Kleinsorge.
Reconciling Compilers and Timing Analysis for Safety-Critical Real-Time Systems — the WCET-aware C Compiler WCC (Tutorial).
March 2012
[BibTeX]
Heiko Falk, Peter Marwedel and Paul Lokuciejewski.
Reconciling Compilation and Timing Analysis.
March 2012
[BibTeX][Link][Abstract]
2011
Hendrik Borghorst.
WCET bewusste Scratchpad-Speicherallokation von Code und Daten für Multi-Task Systeme.
Bachelor Thesis, 2011
[BibTeX][PDF]
Heiko Falk and Helena Kotthaus.
WCET-driven Cache-aware Code Positioning.
In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), pages 145-154
Taipei, Taiwan, October 2011
[BibTeX][PDF][Abstract]
Sascha Plazar, Jan C. Kleinsorge, Heiko Falk and Peter Marwedel.
WCET-driven Branch Prediction aware Code Positioning.
In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), pages 165-174
Taipei, Taiwan, October 2011
[BibTeX][PDF][Abstract]
Jan C. Kleinsorge, Heiko Falk and Peter Marwedel.
A Synergetic Approach To Accurate Analysis Of Cache-Related Preemption Delay.
In Proceedings of the International Conference on Embedded Software (EMSOFT), pages 329-338
Taipei, Taiwan, October 2011
[BibTeX][PDF][Abstract]
Samarjit Chakraborty, Marco Di Natale, Heiko Falk, Martin Lukasiewyzc and Frank Slomka.
Timing and Schedulability Analysis for Distributed Automotive Control Applications.
In Tutorial at the International Conference on Embedded Software (EMSOFT), pages 349-350
Taipei, Taiwan, October 2011
[BibTeX][PDF][Abstract]
Jens Möllmer.
WCET Optimierung unter Beachtung der Speicherhierarchie.
Bachelor Thesis, August 2011
[BibTeX][PDF]
Heiko Falk, Norman Schmitz and Florian Schmoll.
WCET-aware Register Allocation based on Integer-Linear Programming.
In Proceedings of the 23rd Euromicro Conference on Real-Time Systems (ECRTS), pages 13-22
Porto / Portugal, July 2011
[BibTeX][PDF][Abstract]
Timon Kelter, Heiko Falk, Peter Marwedel, Sudipta Chattopadhyay and Abhik Roychoudhury.
Bus-Aware Multicore WCET Analysis through TDMA Offset Bounds.
In Proceedings of the 23rd Euromicro Conference on Real-Time Systems (ECRTS), pages 3-12
Porto / Portugal, July 2011
[BibTeX][PDF][Abstract]
Paul Lokuciejewski, Sascha Plazar, Heiko Falk, Peter Marwedel and Lothar Thiele.
Approximating Pareto optimal compiler optimization sequences---a trade-off between WCET, ACET and code size.
Software: Practice and Experience
May 2011, DOI 10.1002/spe.1079
[BibTeX][PDF][Abstract]
Arthur Pyka.
Multikriterielle Exploration von Compileroptimierungen und Cacheparametern.
Master's Thesis, February 2011
[BibTeX][PDF]
Kyrill Risto.
Scratchpad-Allokation zur Reduktion der größtmöglichen Laufzeit von Multitask-Systemen.
Master's Thesis, January 2011
[BibTeX]
Helena Kotthaus.
Cache-bewusste Code-Positionierung zur Reduktion der maximalen Programmlaufzeit (WCET).
Master's Thesis, January 2011
[BibTeX]
2010
Paul Lokuciejewski and Peter Marwedel.
Worst-Case Execution Time Aware Compilation Techniques for Real-Time Systems.
Springer
November 2010
[BibTeX][Abstract]
Heiko Falk and Paul Lokuciejewski.
A compiler framework for the reduction of worst-case execution times.
Journal on Real-Time Systems 46 2, pages 251-300
October 2010, DOI 10.1007/s11241-010-9101-x
[BibTeX][PDF][Abstract]
Lutz Krumme.
Dynamische Scratchpad-Allokation von Code und Daten zur WCET-Minimierung.
Master's Thesis, August 2010
[BibTeX][PDF]
Norman Schmitz.
ILP-basierte Registerallokation zur Worst-Case Execution Time Minimierung.
Master's Thesis, June 2010
[BibTeX][PDF]
Paul Lokuciejewski, Timon Kelter and Peter Marwedel.
Superblock-Based Source Code Optimizations for WCET Reduction.
In Proceedings of the 7th International Conference on Embedded Software and Systems (ICESS), pages 1918-1925
Bradford / UK, June 2010
[BibTeX][PDF][Abstract]
Andre Smolarczyk.
Instruction Scheduling-Verfahren zur Minimierung der WCET.
Master's Thesis, May 2010
[BibTeX][PDF]
Sascha Plazar, Paul Lokuciejewski and Peter Marwedel.
WCET-driven Cache-aware Memory Content Selection.
In Proceedings of the 13th IEEE International Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC), pages 107-114
Carmona / Spain, May 2010
[BibTeX][PDF][Abstract]
Paul Lokuciejewski, Sascha Plazar, Heiko Falk, Peter Marwedel and Lothar Thiele.
Multi-Objective Exploration of Compiler Optimizations for Real-Time Systems.
In Proceedings of the 13th International Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC), pages 115-122
Carmona / Spain, May 2010
[BibTeX][PDF][Abstract]
Peter Marwedel and Heiko Falk.
Reconciling compilers and timing analysis (Invited Talk).
April 2010
[BibTeX][PDF][Abstract]
Igor Ionov.
Design und Realisierung von Konzepten für retargierbare, multikriterielle Optimierungen im WCET-fähigen Compiler.
Master's Thesis, March 2010
[BibTeX][PDF]
Paul Lokuciejewski, Marco Stolpe, Katharina Morik and Peter Marwedel.
Automatic Selection of Machine Learning Models for WCET-aware Compiler Heuristic Generation.
In Proceedings of the 4th Workshop on Statistical and Machine Learning Approaches to Architectures and Compilation (SMART), pages 3-17
Pisa / Italy, January 2010
[BibTeX][PDF][Abstract]
2009
Timon Kelter.
Superblock-Based High-Level WCET Optimizations: Concepts and Applications (in German).
VDM Verlag Dr. Müller
October 2009
[BibTeX]
Timon Kelter.
Superblock-basierte High-Level WCET-Optimierungen.
Master's Thesis, September 2009
[BibTeX][PDF]
Heiko Falk.
WCET-aware Register Allocation based on Graph Coloring.
In The 46th Design Automation Conference (DAC), pages 726-731
San Francisco / USA, July 2009
[BibTeX][PDF][Abstract]
Heiko Falk and Jan C. Kleinsorge.
Optimal Static WCET-aware Scratchpad Allocation of Program Code.
In The 46th Design Automation Conference (DAC), pages 732-737
San Francisco / USA, July 2009
[BibTeX][PDF][Abstract]
Paul Lokuciejewski and Peter Marwedel.
Combining Worst-Case Timing Models, Loop Unrolling, and Static Loop Analysis for WCET Minimization.
In The 21st Euromicro Conference on Real-Time Systems (ECRTS), pages 35-44
Dublin / Ireland, July 2009
[BibTeX][PDF][Abstract]
Thomas Pucyk.
Lokale und Globale Instruction Scheduling-Verfahren für den TriCore Prozessor.
Master's Thesis, June 2009
[BibTeX][PDF]
Sascha Plazar, Paul Lokuciejewski and Peter Marwedel.
WCET-aware Software Based Cache Partitioning for Multi-Task Real-Time Systems.
In The 9th International Workshop on Worst-Case Execution Time Analysis (WCET), pages 78-88
Dublin / Ireland, June 2009
[BibTeX][PDF][Abstract]
Paul Lokuciejewski, Fatih Gedikli and Peter Marwedel.
Accelerating WCET-driven Optimizations by the Invariant Path Paradigm - a Case Study of Loop Unswitching.
In The 12th International Workshop on Software & Compilers for Embedded Systems (SCOPES), pages 11-20
Nice / France, April 2009
[BibTeX][PDF][Abstract]
Paul Lokuciejewski, Daniel Cordes, Heiko Falk and Peter Marwedel.
A Fast and Precise Static Loop Analysis based on Abstract Interpretation, Program Slicing and Polytope Models.
In International Symposium on Code Generation and Optimization (CGO), pages 136-146
Seattle / USA, March 2009
[BibTeX][PDF][Abstract]
Paul Lokuciejewski, Fatih Gedikli, Peter Marwedel and Katharina Morik.
Automatic WCET Reduction by Machine Learning Based Heuristics for Function Inlining.
In Proceedings of the 3rd Workshop on Statistical and Machine Learning Approaches to Architectures and Compilation (SMART), pages 1-15
Paphos / Cyprus, January 2009
[BibTeX][PDF][Abstract]
2008
Peter Marwedel and Heiko Falk (presentation).
Memory-architecture aware compilation.
In The ARTIST2 Summer School 2008 in Europe
Autrans / France, 2008
[BibTeX][PDF]
Sascha Plazar, Paul Lokuciejewski and Peter Marwedel.
A Retargetable Framework for Multi-objective WCET-aware High-level Compiler Optimizations.
In Proceedings of The 29th IEEE Real-Time Systems Symposium (RTSS) WiP, pages 49-52
Barcelona / Spain, December 2008
[BibTeX][PDF][Abstract]
Fatih Gedikli.
Transformation und Ausnutzung von WCET-Informationen für High-Level Optimierungen.
Master's Thesis, September 2008
[BibTeX][PDF]
Florian Schmoll.
ILP-basierte Registerallokation unter Ausnutzung von WCET-Daten.
Master's Thesis, September 2008
[BibTeX][PDF]
Jan Christopher Kleinsorge.
WCET-centric code allocation for scratchpad memories.
Master's Thesis, September 2008
[BibTeX][PDF]
Niklas Holsti, Jan Gustafsson, Guillem Bernat, Clément Ballabriga, Armelle Bonenfant, Roman Bourgade, Hugues Cassé, Daniel Cordes, Albrecht Kadlec, Raimund Kirner, Jens Knoop, Paul Lokuciejewski and Merriam.
WCET Tool Challenge 2008: Report.
In International Workshop on Worst-Case Execution Time Analysis (WCET)
Prague / Czech Republic, September 2008
[BibTeX][PDF][Abstract]
Felix Rotthowe.
Scratchpad-Allokation von Daten zur Worst-Case Execution Time Minimierung.
Master's Thesis, August 2008
[BibTeX][PDF]
Paul Lokuciejewski, Heiko Falk and Peter Marwedel.
WCET-driven Cache-based Procedure Positioning Optimizations.
In The 20th Euromicro Conference on Real-Time Systems (ECRTS), pages 321-330
Prague / Czech Republic, July 2008
[BibTeX][PDF][Abstract]
Daniel Cordes.
Schleifenanalyse für einen WCET-optimierenden Compiler basierend auf Abstrakter Interpretation und Polylib.
Master's Thesis, April 2008
[BibTeX][PDF]
Paul Lokuciejewski, Heiko Falk, Peter Marwedel and Henrik Theiling.
WCET-Driven, Code-Size Critical Procedure Cloning.
In The 11th International Workshop on Software & Compilers for Embedded Systems (SCOPES), pages 21-30
Munich / Germany, March 2008
[BibTeX][PDF][Abstract]
2007
Paul Lokuciejewski.
A WCET-Aware Compiler. Design, Concepts and Realization.
VDM Verlag
2007
[BibTeX][Abstract]
Daniel Schulte.
Flow Facts für WCET-optimierende Compiler - Modellierung und Transformation.
VDM Verlag
November 2007
[BibTeX][Abstract]
Heiko Falk, Sascha Plazar and Henrik Theiling.
Compile Time Decided Instruction Cache Locking Using Worst-Case Execution Paths.
In International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS, pages 143-148
Salzburg/Austria, September 2007
[BibTeX][PDF][Abstract]
Paul Lokuciejewski, Heiko Falk, Martin Schwarzer, Peter Marwedel and Henrik Theiling.
Influence of Procedure Cloning on WCET Prediction.
In International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pages 137-142
Salzburg/Austria, September 2007
[BibTeX][PDF][Abstract]
Peter Marwedel, Heiko Falk, Sascha Plazar, Robert Pyka and Lars Wehmeyer.
Automatic mapping to tightly-coupled memories and cache locking.
In Proceedings of 4th HiPEAC Industrial Workshop on Compilers and Architectures
Cambridge, UK, August 2007
[BibTeX][PDF][Link]
Paul Lokuciejewski, Heiko Falk, Martin Schwarzer and Peter Marwedel.
Tighter WCET Estimates by Procedure Cloning.
In 7th International Workshop on Worst-Case Execution Time Analysis (WCET), pages 27-32
Pisa/Italy, July 2007
[BibTeX][PDF][Abstract]
Sascha Plazar.
Algorithmen zur WCET Optimierung - Einfluss von statischem Cache-Locking auf Worst-Case Execution Times.
VDM Verlag
June 2007
[BibTeX][Abstract]
Daniel Höcker.
Effiziente Darstellung und Nutzung von WCET Pfad Analysen.
Master's Thesis, May 2007
[BibTeX]
Daniel Schulte.
Modellierung und Transformation von Flow Facts in einem WCET-optimierenden Compiler.
Master's Thesis, May 2007
[BibTeX][PDF]
Sascha Plazar.
Einfluss von statischem Cache Locking auf Worst Case Execution Times.
Master's Thesis, January 2007
[BibTeX][PDF]
Martin Schwarzer.
Untersuchung des Einflusses von Compiler-Optimierungen auf die Maximale Programm-Laufzeit (WCET).
Master's Thesis, January 2007
[BibTeX][PDF]
2006
Lars Wehmeyer and Peter Marwedel.
Fast, Efficient and Predictable Memory Accesses - Optimization Algorithms for Memory Architecture Aware Compilation.
Springer-Verlag
2006
[BibTeX][Link][Abstract]
Heiko Falk and Martin Schwarzer.
Loop Nest Splitting for WCET-Optimization and Predictability Improvement.
In 4th IEEE Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia), pages 115-120
Seoul/Korea, October 2006
[BibTeX][PDF][Abstract]
Heiko Falk, Paul Lokuciejewski and Henrik Theiling.
Design of a WCET-Aware C Compiler.
In 4th IEEE Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia), pages 121-126
Seoul/Korea, October 2006
[BibTeX][PDF][Abstract]
Heiko Falk, Paul Lokuciejewski and Henrik Theiling.
Design of a WCET-Aware C Compiler.
In 6th International Workshop on Worst-Case Execution Time Analysis (WCET)
Dresden/Germany, July 2006
[BibTeX][PDF][Abstract]
Heiko Falk and Martin Schwarzer.
Loop Nest Splitting for WCET-Optimization and Predictability Improvement.
In 6th International Workshop on Worst-Case Execution Time Analysis (WCET)
Dresden/Germany, July 2006
[BibTeX][PDF][Abstract]
2005
Paul Lokuciejewski.
Design and Realization of Concepts for WCET Compiler Optimization.
Master's Thesis, Decmber 2005
[BibTeX]
Lars Wehmeyer and Peter Marwedel.
Influence of Memory Hierarchies on Predictability for Time Constrained Embedded Software.
In Design Automation and Test in Europe (DATE)
Munich, Germany, March 2005
[BibTeX][PDF][Abstract]
2004
Lars Wehmeyer and Peter Marwedel.
Influence of Onchip Scratchpad Memories on WCET prediction.
In Proceedings of the 4th International Workshop on Worst-Case Execution Time (WCET) Analysis
Catania, Sicily, Italy, June 2004
[BibTeX][PDF][Abstract]
Peter Marwedel, Lars Wehmeyer, Manish Verma, Stefan Steinke and Urs Helmig.
Fast, predictable and low energy memory references through architecture-aware compilation.
In ASPDAC, pages 4-11
January 2004
[BibTeX][PDF][Abstract]